21世纪高等职业教育机电类规划教材

电子技术

分享 推荐 0 收藏 0 阅读 8.6K
赵景波 (主编) 于亦凡 (副主编) 周祥龙 (审) 978-7-115-18845-8

关于本书的内容有任何问题,请联系 王丽美

职业性强, 实用性强,实践性强,针对性强,使用方便。
按照精品课程打造,配有多种形式的教学辅助素材(详见本书素材列表),构建立体化教学平台。
¥25.00 ¥21.25 (8.5 折)
立即购买 申请样书
教学资源仅供教师教学使用,转载或另作他用版权方有权追究法律责任。

内容摘要

  本书根据教育部高职高专教育当前教学和教材改革精神编写而成。全书以数字和模拟器件为主线,着重介绍了模拟电路和数字电路的实际应用,尽量简化理论计算和公式推导,突出实践教学。全书分11章,内容包括常用半导体器件的原理及应用,半导体器件构成的基本放大电路,集成运算放大电路及应用,直流稳压电源的原理及设计,数字电路的基础知识,逻辑门电路的基本知识,组合逻辑电路的分析、设计及常用组合逻辑器件,集成触发器原理及类型,时序逻辑电路分析及常用时序逻辑器件,555定时器的原理及应用和A/D和D/A转换器等。
  本书可作为高职高专院校、高级技师学院的机械制造、机电类专业的教材,也可以作为工程技术人员的自学参考书。

目录

目 录

第 1章 常用半导体器件 1
1.1 晶体二极管 1
1.1.1 半导体的基本知识 1
1.1.2 半导体二极管的结构及型号 3
1.1.3 半导体二极管的特性 4
1.1.4 二极管主要参数 5
1.2 二极管的应用电路 6
1.2.1 常用各类二极管实物及应用 6
1.2.2 限幅电路 7
1.3 晶体三极管 8
1.3.1 晶体三极管的结构 8
1.3.2 晶体三极管的放大原理 9
1.3.3 晶体三极管的特性 11
1.3.4 三极管主要参数 12
1.4 场效应晶体管 13
1.4.1 结型场效应管 13
1.4.2 绝缘栅场效应管 15
1.4.3 场效应晶体管的使用 17
1.5 晶闸管 17
1.5.1 单向晶闸管的工作原理 17
1.5.2 晶闸管的伏安特性和主要参数 19
1.5.3 晶闸管可控整流电路 20
1.5.4 双向晶闸管 22
1.5.5 晶闸管的触发电路 23
1.5.6 晶闸管的保护与应用 23
1.6 实验 仪器的使用 24
1.7 实训 半导体器件的识别和测试 28
1.8 本章小结 35
1.9 习题 36

第 2章 晶体管放大电路 38
2.1 共发射极基本放大电路 38
2.1.1 放大电路的基本知识 38
2.1.2 共发射极放大电路的组成及放大作用 40
2.1.3 放大电路的静态分析 42
2.1.4 放大电路的动态分析 44
2.1.5 共发射极放大电路工作点稳定 46
2.2 共集电极放大电路 47
2.3 差动放大电路 47
2.4 功率放大电路 49
2.4.1 功率放大电路概述 50
2.4.2 互补对称电路 51
2.4.3 集成功率放大电路 52
2.4.4 多级放大电路 53
2.5 实训 单管电压放大电路组装与调试 54
2.6 实验 集成功率放大器的应用 57
2.7 本章小结 58
2.8 习题 58

第3章 集成运算放大电路 61
3.1 集成运算放大电路概述 61
3.1.1 集成电路的特点 61
3.1.2 集成电路的分类 62
3.1.3 集成运算放大电路 62
3.2 负反馈放大电路 65
3.2.1 反馈的基本概念 65
3.2.2 集成运放的反馈类型 65
3.2.3 负反馈对放大电路性能的影响 69
3.3 集成电路的基本单元电路 70
3.3.1 反相比例运算放大电路 70
3.3.2 同相比例运算放大电路 71
3.3.3 反相输入加法电路 72
3.3.4 减法运算电路 72
3.3.5 积分电路 73
3.3.6 微分电路 73
3.4 集成运算放大电路基本应用电路分析 74
3.4.1 电压比较器 74
3.4.2 方波产生电路 76
3.4.3 集成运放的应用 77
3.5 集成芯片的封装及识别 77
3.5.1 集成芯片的封装及识别 77
3.5.2 特殊集成运算放大电路 79
3.5.3 集成运算放大电路的保护与使用 80
3.6 实验1 集成运算放大器参数测试 81
3.7 实验2 集成运算放大电路功能测试 85
3.8 本章小结 89
3.9 习题 89

第4章 直流稳压电源 91
4.1 单相整流电路 91
4.1.1 单相半波整流电路 92
4.1.2 单相桥式整流电路 92
4.2 滤波电路 94
4.2.1 电容滤波电路 95
4.2.2 电感滤波电路 96
4.3 稳压电路 96
4.3.1 硅稳压管稳压电路 97
4.3.2 串联型稳压电路 97
4.4 集成稳压电器 98
4.4.1 三端固定式集成稳压器 98
4.4.2 三端可调式集成稳压器 100
4.5 开关稳压电源 100
4.6 模拟电路识图 101
4.6.1 单元电路识图 101
4.6.2 整机电路识图 103
4.6.3 集成电路应用电路识图 104
4.6.4 修理识图 105
4.6.5 模拟电路识图的应用 106
4.7 实验 三端集成稳压器的应用 109
4.8 实训1 焊接训练 111
4.9 实训2 串联型稳压电源的制作 112
4.10 本章小结 116
4.11 习题 116

第5章 数字电路的基本知识 118
5.1 数制与码制 118
5.1.1 模拟信号与数字信号 118
5.1.2 数字电路 119
5.1.3 数制 120
5.1.4 二进制码 122
5.2 逻辑代数的基本运算 123
5.2.1 与运算 123
5.2.2 或运算 124
5.2.3 非运算 124
5.3 逻辑代数 126
5.3.1 逻辑函数的表示方法 126
5.3.2 逻辑代数的基本公式和规则 127
5.3.3 逻辑函数的化简 129
5.4 本章小结 130
5.5 习题 131

第6章 逻辑门电路 132
6.1 分立元件门电路 132
6.1.1 二极管的开关特性 132
6.1.2 三极管的开关特性 133
6.1.3 基本逻辑门电路 134
6.2 集成TTL门电路 136
6.2.1 TTL与非门的结构及原理 136
6.2.2 TTL与非门电路的主要外部工作特性 137
6.2.3 TTL与非门电路的主要参数 138
6.2.4 TTL门电路集成芯片介绍 141
6.3 集成COMS门电路 142
6.3.1 CMOS非门 142
6.3.2 其他的CMOS门电路 143
6.3.3 CMOS逻辑门电路的系列 144
6.3.4 集成门电路输入、输出的处理 145
6.4 实训 TTL与非门参数测试 146
6.5 实验 门电路逻辑功能及测试 148
6.6 本章小结 150
6.7 习题 150

第7章 组合逻辑电路 152
7.1 组合逻辑电路的分析 152
7.1.1 组合逻辑电路功能的描述 152
7.1.2 组合逻辑电路的分析方法 153
7.2 组合逻辑电路的设计方法 154
7.3 组合逻辑电路的竞争冒险 155
7.3.1 产生竞争冒险的原因 155
7.3.2 消除竞争冒险的方法 157
7.4 编码器与译码器 158
7.4.1 编码器 158
7.4.2 译码器 160
7.5 实验 组合逻辑电路功能测试 164
7.6 实训1 三变量组合逻辑电路设计 165
7.7 实训2 译码显示电路设计 166
7.8 本章小结 167
7.9 习题 168

第8章 集成触发器 169
8.1 基本RS触发器 169
8.1.1 电路结构和工作原理 169
8.1.2 触发器的功能描述方法 171
8.2 同步RS触发器 172
8.3 主从JK触发器 173
8.4 边沿D触发器 175
8.5 触发器的应用 177
8.6 实验 集成触发器逻辑功能测试 178
8.7 本章小结 181
8.8 习题 181

第9章 时序逻辑电路 183
9.1 概述 183
9.2 计数器 186
9.2.1 二进制计数器 186
9.2.2 集成二进制计数器 189
9.3 寄存器 192
9.3.1 移位寄存器 192
9.3.2 集成移位寄存器 193
9.4 实验1 寄存器的功能测试 195
9.5 实验2 计数器的功能测试 197
9.6 综合实训 抢答器的分析与设计 198
9.7 数字电路原理图的识图 200
9.8 本章小结 201
9.9 习题 201

第 10章 555定时器 203
10.1 555定时器电路及功能 203
10.1.1 555定时器的电路结构与工作原理 203
10.1.2 555定时器的功能 205
10.2 施密特触发器 205
10.3 单稳态触发器 207
10.4 多谐振荡器 208
10.5 555定时器的应用 210
10.6 实训 555定时器的设计应用 211
10.7 本章小结 212
10.8 习题 213

第 11章 D/A与A/D转换器 214
11.1 D/A转换器 214
11.1.1 DAC的基本原理 214
11.1.2 变换网络 215
11.1.3 模拟开关 216
11.1.4 D/A转换器的主要技术指标 216
11.1.5 集成D/A转换器 217
11.2 A/D转换器 218
11.2.1 采样和保持 218
11.2.2 量化和编码 219
11.2.3 A/D转换器 219
11.2.4 A/D转换器的主要技术指标 221
11.2.5 集成A/D转换器 221
11.3 本章小结 222
11.4 习题 222

参考文献 224

读者评论

赶紧抢沙发哦!

我要评论

同系列书

  • AutoCAD中文版习题集

    姜勇 姜军 黄晓萍

      本书提供了大量典型习题,并对有一定难度的习题给出了作图步骤提示。全书习题安排由易到难,系统而全面,既有基本...

    ¥18.00
  • SolidWorks 2008中文版基础教程

    宋晓梅 蔡汉明

      本书以实例贯穿全书,系统地介绍SolidWorks 2008中文版的基本功能。   本书共分为9章,内容...

    ¥29.00
  • AutoCAD中文版机械制图习题集

    姜勇 姜军 郑金 王定保

      本书提供了大量典型习题,还对有一定难度的习题给出了作图步骤提示。全书习题安排由易到难,系统而全面,既有基本...

    ¥21.00
  • 数控机床及其维护(第2版)

    刘战术 窦凯 吴新佳 张勇革 李明强 任海申

      本书主要内容包括数控机床控制系统、数控机床伺服系统与检测装置、数控机床的机械部件、数控机床的辅助驱动系统以...

    ¥16.00
  • 电子技术

    赵景波 于亦凡

      本书根据教育部高职高专教育当前教学和教材改革精神编写而成。全书以数字和模拟器件为主线,着重介绍了模拟电路和...

    ¥25.00

相关图书

  • UG NX 12.0 边学边练实例教程(第6版)(微课版)

    朱光力

    本书精选多个(包括实体建模、二维工程图、部件装配、运动仿真等)实例和练习题,从简单到复杂,从单个知识的应用到多...

    ¥59.80
  • 液压与气压传动技术(微课版)

    肖珑 楚雪平 金宁宁 杨莉 于彪

      本书共9个项目,主要内容包括认识液压传动基础知识、认识液压传动的动力元件、认识液压传动的执行元件、搭建常用...

    ¥59.80
  • 集成电路封装与测试(微课版)

    韩振花

    本书较为全面地介绍集成电路封装与测试技术知识。全书共8 个项目,包括认识集成电路封装与测试、封装工艺流程、气密...

    ¥56.00
  • 电子技术基础(第5版)(微课版)

    曾令琴

    本书以培养学生分析问题、解决问题的能力和动手能力为主导,将模拟电子技术、数字电子技术和计算机相关知识前后呼应并...

    ¥52.00
  • 供配电技术(第4版)(微课版)

    曾令琴

    本书按照“项目引导、任务驱动、理实一体化”的原则编写,全书共8个项目,主要内容包括认识供配电技术、计算负荷和短...

    ¥56.00
人邮微信
本地服务
教师服务
教师服务
读者服务
读者服务
返回顶部
返回顶部