高等职业教育电子技术技能培养规划教材

数字电子技术基础(第2版)

分享 推荐 1 收藏 4 阅读 3.8K
焦素敏 (主编) 范艳峰 , 刘林芝 (副主编) 978-7-115-27523-3

关于本书的内容有任何问题,请联系 王丽美

修订后主要用于维护原版教材用量。

内容摘要

  本书是为适应高职高专人才培养的需要,根据国家教育部最新制定的高职高专教育数字电子技术课程教学的基本要求而编写的。在内容的编排上,充分考虑到高职高专教育的特点,并结合了现代数字电子技术的发展趋势。
  本书内容共分9章,第1章是数字电子技术理论基础,第2章是逻辑门电路,第3章是组合逻辑电路,第4章是触发器,第5章是时序逻辑电路,第6章是脉冲波形的产生与变换,第7章是数模和模数转换器,第8章是半导体存储器及可编程逻辑器件,第9章是数字电路EDA简介。
  本书配有技能训练、读图练习、综合训练、实用资料速查、本章小结、自我检测题及参考答案、思考题与习题等内容,以满足读者练习和实训的需要。
  本书可作为电子、电气、通信和计算机等各专业的教材,也可供其他非电专业和成人教育、职业培训等选用。

目录

目 录

第 1章 数字电子技术理论基础 1
1.1 数字电路概述 1
1.1.1 数字信号与数字电路 1
1.1.2 数字电路的特点 1
1.2 数制和码制 2
1.2.1 数制 2
1.2.2 数制转换 3
1.2.3 码制 4
1.3 逻辑函数及其表示方法 6
1.3.1 逻辑代数 6
1.3.2 3种基本逻辑运算 6
1.3.3 常用的复合逻辑运算 8
1.3.4 逻辑函数的表示方法及相互转换 10
1.4 逻辑代数的基本定律和规则 12
1.4.1 逻辑代数的基本定律 12
1.4.2 逻辑代数的基本规则 13
1.5 逻辑函数的公式化简法 14
1.5.1 逻辑函数的不同表达方式 14
1.5.2 逻辑函数的公式化简法 14
1.6 逻辑函数的卡诺图化简法 15
1.6.1 逻辑函数的**小项及其表达式 15
1.6.2 逻辑函数的卡诺图表示法 17
1.6.3 用卡诺图化简逻辑函数 18
1.7 具有无关项的逻辑函数及其化简 20
1.7.1 逻辑函数中的约束项 20
1.7.2 利用无关项化简逻辑函数 21
本章小结 22
自我检测题 22
习题 24

第 2章 逻辑门电路 27
2.1 二极管和三极管的开关特性 27
2.1.1 二极管的开关特性 27
2.1.2 三极管的开关特性 28
2.2 基本逻辑门电路 30
2.2.1 3种基本门电路 30
2.2.2 DTL与非门 31
2.3 TTL逻辑门电路 32
2.3.1 TTL与非门的工作原理 32
2.3.2 TTL与非门的外特性及有关参数 33
2.4 其他类型的TTL门电路 37
2.4.1 集电极开路与非门(OC门) 38
2.4.2 三态门(TS门) 40
2.4.3 TTL与或非门和异或门 41
2.5 CMOS反相器门电路 42
2.5.1 MOS管的开关特性 42
2.5.2 CMOS反相器 43
2.6 其他CMOS门电路 44
2.6.1 CMOS与非门 44
2.6.2 CMOS或非门 44
2.6.3 CMOS传输门(TG门) 44
2.7 正负逻辑问题 45
2.8 门电路在实际应用中应注意的问题 46
2.8.1 多余输入端的处理 46
2.8.2 TTL和CMOS电路外接负载问题 47
2.8.3 TTL与CMOS电路的接口技术 47
技能训练 集成门电路逻辑功能的测试 48
实用资料速查:集成门电路相关资料 49
本章小结 52
自我检测题 52
习题 53

第3章 组合逻辑电路 55
3.1 组合逻辑电路的分析方法和设计方法 55
3.1.1 组合逻辑电路的基本概念 55
3.1.2 组合逻辑电路的分析方法 56
3.1.3 组合逻辑电路的设计方法 57
3.2 编码器 59
3.2.1 编码器的原理和分类 59
3.2.2 集成编码器 62
3.3 译码器和数据分配器 64
3.3.1 译码器的原理及分类 64
3.3.2 集成译码器 67
3.3.3 数据分配器 71
3.4 数据选择器 72
3.4.1 数据选择器的原理 72
3.4.2 集成数据选择器 74
3.5 数值比较器 75
3.5.1 数值比较器的原理 75
3.5.2 集成数值比较器 77
3.6 算术运算电路 78
3.6.1 半加器和全加器 78
3.6.2 集成算术运算电路 79
3.7 组合逻辑电路中的竞争与冒险 79
3.7.1 产生竞争冒险的原因 80
3.7.2 冒险的消除方法 81
技能训练1 组合逻辑电路的设计与测试 82
技能训练2 译码器的使用 83
技能训练3 编码器、显示译码器及数字显示电路 84
技能训练4 数据选择器 86
实用资料速查:常用组合逻辑电路功能部件相关资料 87
本章小结 90
自我检测题 91
习题 92

第4章 触发器 94
4.1 触发器的电路结构及工作原理 94
4.1.1 基本RS触发器 94
4.1.2 同步RS触发器 96
4.1.3 主从触发器和边沿触发器 100
4.2 触发器的功能分类及相互转换 104
4.2.1 触发器的功能分类 104
4.2.2 不同类型时钟触发器的相互转换 106
4.2.3 集成触发器及主要参数 108
技能训练 触发器 111
本章小结 112
自我检测题 113
习题 114

第5章 时序逻辑电路 118
5.1 时序逻辑电路的基本概念 118
5.2 时序逻辑电路的分析方法和设计方法 119
5.2.1 同步时序逻辑电路的分析 120
5.2.2 异步时序逻辑电路的分析 122
5.2.3 同步时序逻辑电路的设计方法 123
5.3 寄存器和锁存器 125
5.3.1 数码寄存器 125
5.3.2 移位寄存器 126
5.3.3 锁存器 128
5.3.4 寄存器集成电路介绍 129
5.4 计数器 131
5.4.1 二进制计数器 131
5.4.2 十进制计数器 134
5.4.3 集成计数器介绍 138
5.5 节拍脉冲发生器 143
技能训练 集成计数器及应用 144
实用资料速查:常用时序逻辑电路功能部件相关资料 145
本章小结 146
自我检测题 147
习题 149

第6章 脉冲波形的产生与变换 151
6.1 555定时器 151
6.2 多谐振荡器 153
6.2.1 由555定时器组成的多谐振荡器 153
6.2.2 石英晶体多谐振荡器 154
6.2.3 多谐振荡器的应用 156
6.3 单稳态触发器 156
6.3.1 由555定时器组成的单稳态触发器 156
6.3.2 集成单稳态触发器 157
6.3.3 单稳态触发器的应用 159
6.4 施密特触发器 161
6.4.1 由门电路组成的施密特触发器 161
6.4.2 由555定时器构成的施密特触发器 162
6.4.3 集成施密特触发器 163
6.4.4 施密特触发器的应用 164
技能训练 555时基电路 165
读图练习 ASCII键盘编码电路 166
综合训练 数字钟的设计与实现 170
本章小结 172
自我检测题 173
习题 174

第7章 数模和模数转换器 175
7.1 D/A转换器 175
7.1.1 二进制权电阻网络D/A转换器 175
7.1.2 R-2RT型网络D/A转换器 177
7.1.3 D/A转换器的主要技术参数 179
7.1.4 集成D/A转换器 179
7.2 A/D转换器 182
7.2.1 概述 182
7.2.2 常用的A/D转换器类型 183
7.2.3 集成A/D转换器及其应用 187
技能训练1 模数转换器ADC0809 190
技能训练2 数模转换器DAC0832 191
读图练习3位半数字电压表 193
本章小结 198
自我检测题 198
习题 199

第8章 半导体存储器及可编程逻辑器件 201
8.1 随机存取存储器(RAM) 201
8.1.1 RAM的结构和工作原理 201
8.1.2 RAM的存储元 203
8.1.3 RAM的扩展 204
8.2 只读存储器(ROM) 205
8.2.1 ROM的结构和工作原理 205
8.2.2 ROM的扩展 209
8.3 可编程逻辑器件(PLD) 210
8.3.1 概述 210
8.3.2 PAL和GAL 211
*8.3.3 CPLD/FPGA简介 216
本章小结 223
自我检测题 224
习题 225

*第9章 数字电路EDA简介 226
9.1 HDL入门 226
9.1.1 组合逻辑电路设计举例 227
9.1.2 时序逻辑电路设计举例 233
9.2 EDA工具软件MAX+plusⅡ使用入门 236
9.2.1 原理图输入设计方法 236
9.2.2 文本编辑——VHDL设计 244
本章小结 252
习题 253

参考文献 254

读者评论

  • 而且自我检测压根就没答案

    库华发表于 2017/3/14 12:37:19
  • 而且这本书老师说好多不详细的地方,还要她自己去补充

    库华发表于 2017/3/14 12:31:36
  • 有点好奇为什么不给答案,现在的大学老师又不是天天在,自学很重要啊

    库华发表于 2017/3/14 12:30:34

我要评论

推荐用户

同系列书

  • 电机与电气控制技术

    曾令琴 郑汉尚 许东霞

      《电机与电气控制技术》突出了理实结合及工程应用特色,全书内容由浅入深,语言通俗易懂。内容编排上由器件到基本...

    ¥34.00
  • 电子产品生产工艺实例教程

    王成安

      本书按照电子产品现代化生产的工艺顺序,采取项目式教学方法,对电子产品生产工艺的内容进行有机整合,将每项生产...

    ¥23.00
  • 数字电子技术

    曾令琴 吕乐 李林鹏

      本书将传统的数字电子技术教材中的理论知识进行了重新整合与取舍,结合高职高专教学改革的要求,以及工程实际应用...

    ¥23.00
  • 电路应用基础

    王雪瑜 山炳强 马艳

      本书以现代电路基础的基本知识、基本理论为主线,以应用为目的,在保证科学性的前提下,从工程观点考虑,删繁就简...

    ¥23.00
  • 电子产品整机装配实训

    王成安 马宏骞

      本书以“工作过程导向”为主线,采取项目式的教学方法,对电子产品整机装配的生产过程进行有机整合,系统地介绍电...

    ¥26.00

购买本书用户

相关图书

人邮微信
本地服务
教师服务
教师服务
读者服务
读者服务
返回顶部
返回顶部